Оригинал материала: https://3dnews.kz/1108072

ASML отчиталась о 24-% росте заказов на оборудование для выпуска чипов — ИИ подстёгивает спрос

Нидерландская компания ASML является крупнейшим в мире поставщиком литографических сканеров, без которых в современных условиях невозможен выпуск передовых полупроводниковых компонентов. По итогам второго квартала ей удалось увеличить портфель заказов на 24 % до 5,6 млрд евро, а выручка и чистая прибыль компании превзошли ожидания аналитиков.

 Источник изображения: ASML

Источник изображения: ASML

Как водится, динамику основных финансовых показателей ASML в прошлом квартале аналитики старались обосновать ростом спроса на оборудование для производства чипов, используемых в составе систем искусственного интеллекта. Технически, выручка ASML по итогам второго квартала сократилась на 9,5 % в годовом сравнении до 6,24 млрд евро, но эта сумма всё равно оказалась выше ожидаемых рынком 6,03 млрд евро. Чистая прибыль компании также сократилась на 18,7 % в годовом сравнении до 1,58 млрд евро, но оказалась выше заложенных в прогноз инвесторов 1,43 млрд евро.

Не менее важным для оценки динамики развития бизнеса компании оказался параметр общей стоимости оборудования, которое ASML собирается в дальнейшем поставить своим клиентам. Сумма заказов выросла по итогам второго квартала на 24 % год к году до 5,6 млрд евро, а последовательно она увеличилась на все 54 %. Ранее представители ASML называли 2024 год «переходным периодом», который будет характеризоваться последствиями затоваривания рынка, вызванного пандемией. Прогноз по выручке на текущий год руководство ASML при публикации результатов второго квартала оставило без изменений (на уровне предыдущего года), в текущем квартале компания рассчитывает выручить от 6,7 до 7,3 млрд евро. Это меньше, чем те 7,5 млрд евро, на которые рассчитывали аналитики.

Новый генеральный директор ASML Кристоф Фуке (Christophe Fouquet) заявил: «Хотя на рынке присутствуют факторы неопределённости, в основном вызванные состоянием макроэкономики, мы всё равно рассчитываем на восстановление спроса во второй половине года». По мнению руководителя компании, в следующем году полупроводниковая отрасль вступит в цикл восстановления и подъёма. Соответственно, ASML придётся подготовиться к строительству нескольких новых предприятий по выпуску чипов по всей планете, которые возводятся несколькими клиентами компании. Все эти клиенты будут получать от ASML соответствующие технологические системы. Кроме того, как отметил глава ASML, сейчас рост спроса в полупроводниковой отрасли обусловлен преимущественно сегментом систем искусственного интеллекта. Прочие сегменты рынка пока лишь следуют за ним с точки зрения скорости восстановления спроса. По крайней мере, в актуальном портфеле заказов ASML примерно половина суммы приходится на стоимость оборудования, пригодного для выпуска чипов с использованием передовой EUV-литографии.

Ранее ASML предупреждала, что введённые прошлой осенью властями США санкции против Китая лишат её от 10 до 15 % всей выручки. В контексте вероятного усугубления данных санкций в этом году соответствующих комментариев от руководства ASML пока не последовало. Зато фондовый рынок на угрозу новых санкций отреагировал молниеносно — курс акций ASML в Амстердаме упал на 7,7 % до 903 евро за штуку, максимально с октября 2022 года.

Для ASML китайский рынок продолжает оставаться одним из важнейших, поскольку во втором квартале он обеспечил её почти половиной (49 %) всей выручки (€2,3 млрд), а последовательный рост выручки компании в Китае достиг 21 %. Кстати, 49 % выручки ASML китайское направление формирует уже второй квартал подряд, а до уровня в 46 % оно вырастало в третьем квартале прошлого года, когда стало известно о готовящихся очередных санкциях против Китая. Под давлением США власти Нидерландов запретили с начала этого года компании ASML поставлять в Китай ряд литографических сканеров класса DUV, с помощью которых, как считают американские чиновники, китайские производители сохраняют возможность выпускать достаточно продвинутые по меркам местной отрасли чипы. Обслуживание купленного ранее китайскими клиентами оборудования ASML продолжает, и это вызывает отдельное недовольство в США. Самые передовые литографические системы класса EUV компания ASML не может продавать в Китай ещё с 2019 года, когда власти Нидерландов превентивно ввели соответствующие ограничения.



Оригинал материала: https://3dnews.kz/1108072