реклама
Теги → техпроцессы
Быстрый переход

Intel представила недорогой и энергоэффективный 16-нм техпроцесс для широкого спектра чипов

Контрактный производитель полупроводников Intel Foundry Services (IFS) представил новый технологический процесс 16-нм класса, получивший название Intel 16. Он предназначен для производства чипов для мобильных устройств, RF-модулей, компонентов IoT, бытовой техники, устройств для хранения данных, а также для использования в военной и аэрокосмических отраслях. Новая технология дополняет 22-нм техпроцесс Intel FFL и считается недорогим техпроцессом на основе FinFET.

 Источник изображения: Intel

Источник изображения: Intel

Как указывается в пресс-релизе компаний Synopsys, Cadence Digital и Ansys, являющихся ведущими поставщиками средств автоматизации проектирования электроники, новый техпроцесс Intel 16 разработан специально под широкий круг изделий от разных заказчиков. Технология класса 16 нм Intel предлагает более высокую плотность транзисторов, более высокую производительность и энергоэффективность по сравнению с планарными техпроцессами, которые применяются сегодня для перечисленных выше полупроводниковых изделий, а также обещает упросить процесс проектирования и производства полупроводниковых компонентов.

Сотни различных видов электронных микросхем по-прежнему производятся с применением зрелых технологических узлов. Сюда можно отнести однопрограммные процессоры, различные контроллеры и аналоговые устройства, чипы для бытовой техники и радиоустройств. Использование зрелых узлов обходится дешевле, проще и сопровождается меньшим количеством брака. Хотя для передовых вычислений вроде ИИ используются большие и мощные чипы вроде AMD Instinct MI300 и NVIDIA H100, которые выпускаются по самым передовым техпроцессам, но всё равно эти сферы не обходятся без более компактных и простых чипов, обеспечивающие меньший уровень производительности, но при этом обладающие значительно более высокими показателями энергоэффективности. Исходя из этого производители чипов по-прежнему предлагают своим клиентам продукты на основе недорогих зрелых технологических процессов, в которых применяются транзисторы FinFET. Например, та же компания TSMC в этом случае предлагает использовать её техпроцесс N12e.

Компании Ansys, Cadence и Synopsys сообщили, что уже внедрили поддержку технологического процесса Intel 16 в своих программных продуктах для автоматизации проектирования микросхем. Та же Cadence, например, адаптировала применение Intel 16 для проектирования компонентов PCIe 5.0, своего мультипротокольного решения 25G PHY, мультипротокольных решений для потребительских продуктов с поддержкой стандартов PCIe 3.0 и USB 3.2, для различных компонентов памяти LPDDR5/4/4X, а также интерфейсов MIPI D-PHY v1.2 для камер и цифровых дисплеев. В свою очередь Synopsys предлагает поддержку Intel 16 в составе набора инструментов Synopsys.ai с поддержкой ИИ для более быстрого внедрения чипов.

Разработчики полупроводниковых микросхем, не имеющие собственных производств, уже могут начать использовать инструменты проектирования, моделирования и проверки своих решений на основе техпроцесса Intel 16.

Imec и ASML продолжат вместе разрабатывать оборудование для выпуска чипов по тончайшим техпроцессам

Бельгийский центр исследований Imec и нидерландская компания ASML подписали меморандум о взаимопонимании для поддержки исследований в области полупроводников и устойчивых инноваций в Европе. Подписание запускает вторую фазу совместной работы этих организаций по разработке оборудования и техпроцессов выпуска чипов с нормами менее 10 нм — до нанометра и менее, что найдёт применение на всех передовых заводах мира.

Так или иначе Imec и ASML участвуют в совместных полупроводниковых проектах свыше 40 лет. В 2018 году они договорились сделать литографические сканеры ещё лучше, чтобы позволить массово выпускать чипы с нормами менее 10 нм. Для этого ASML поставила для экспериментальной линии Imec самый передовой на тот момент сканер NXE:3400B со значением числовой апертуры (NA) 0,33 и запланировала поставку ещё более совершенного сканера EXE:5000 с NA 0,55.

На опытной линии Imec и ASML отрабатывали тонкости производства чипов и работали над усовершенствованием сканеров и оборудования для тестирования, а также испытывали различные составы фоторезиста, методы изготовления фотошаблонов и проводили другие исследования, которые помогли бы в освоении всё более тонких техпроцессов.

Новый договор позволит ещё дальше пойти по этому пути. Теперь ASML отправит для установки на опытную линию Imec ещё более новый сканер — EXE:5200 со значением числовой апертуры 0,55. Кроме этого оборудования в Imec будут отправлены последние модели NXE:3800 с 0,33 NA EUV, иммерсионный сканер DUV (TWINSCAN NXT:2100i), станция оптической метрологии Yieldstar и многолучевой HMI.

TSMC ускорила разработку 2-нм техпроцесса — тестовое производство хотят запустить в этом году

Тайваньский контрактный производитель микросхем TSMC ускорил разработку 2-нм технологического процесса из-за высокого потенциального спроса на эту продукцию со стороны таких компаний, как NVIDIA и Apple. Об этом сообщает тайваньское издание Economic Daily.

 Источник изображения: TSMC

Источник изображения: TSMC

По словам издания, тайваньская компания уже приступила к подготовке к старту мелкосерийного производства 2-нм чипов, а массовое производство микросхем этого класса должно начаться к 2025 году.

Со ссылкой на источники Economic Daily сообщает, что в рамках подготовки к тестовому производству микросхем класса 2-нм TSMC передислоцировала инженеров и поддерживающий персонал в центр разработки, расположенный в городском округе Баошань. В рамках тестового производства до конца этого года планируется выпуск 1000 кремниевых пластин, говорится в сообщении одного из источников, на 2024 год компания запланировала ещё один пробный выпуск пластин, а старт массового производства чипов согласно нормам 2 нм должен начаться в 2025 году. Для массового производства 2-нм микросхем компания расширит мощности на своей фабрике в Баошани, а также задействует новый завод в Тайчжуне, что на западе Тайваня.

Для TSMC важно начать тестовое производство 2-нм чипов как можно скорее, так как на этой фазе производства не исключено возникновение технических сложностей, для решения которых потребуется время. Это будет первое поколение чипов компании, в которых будут применяться транзисторы GAA с круговым затвором.

По данным источников тайваньского издания, разработка 2-нм техпроцесса TSMC пока идёт хорошо. Отмечается, что конкуренция среди клиентов компании за использование нового техпроцесса стала более интенсивной, что может говорить о высоком уровне инвестиций в разработку и развитие индивидуальных решений. По словам тех же источников, TSMC активно использует в разработке нового техпроцесса алгоритмы искусственного интеллекта, за счёт которых производитель пытается повысить энергоэффективность новых чипов и, вероятно, снизить воздействие их производства на окружающую среду. Тайваньская компания использует ИИ-платформу AutoDMP от NVIDIA, которая позволяет 30-кратно ускорить процессы оптимизации проектирования кристаллов по сравнению с предыдущими методами и технологиями. Средство проектирования чипов от NVIDIA призвано сделать производство дешевле, а сами чипы — производительнее и энергоэффективнее.

Samsung запустит 4-нм техпроцесс SFX4 для высокопроизводительных CPU и GPU — +10 % к производительности и -23 % к энергопотреблению

На симпозиуме 2023 Symposium on VLSI Technology and Circuits компания Samsung расскажет о новом техпроцессе SF4X, который предназначен для выпуска CPU и GPU для высокопроизводительных вычислений (HPC). Технология, ранее известная как 4HPC (4 нм для высокопроизводительных вычислений), призвана не только обеспечить повышение тактовой частоты и энергоэффективности чипов, но также будет обладать потенциалом для дополнительного разгона.

 Источник изображений: Samsung

Источник изображений: Samsung

Новый техпроцесс Samsung SF4X обещает повышение на 10 % производительности и при этом на 23 % более низкий уровень энергопотребления. Правда, компания пока не уточнила, с каким именно техпроцессом приводит сравнение. Вероятно, речь идёт о стандартном 4-нм техпроцессе SF4 (4LPP). Добиться улучшения производительности и энергоэффективности удалось с помощью перепроектирования стоков и истоков транзисторов, а также благодаря дальнейшей оптимизацией конструкции транзистора и перепроектированием промежуточной схемы (MOL).

Благодаря новой MOL техпроцесс SF4X может похвастаться подтверждённым минимальным напряжением для CPU (Vmin) в 60 мВ, 10-процентным снижением колебаний тока в выключенном состоянии, гарантией работы при высоком напряжении (Vdd) более 1 В без снижения производительности и более эффективной работой с SRAM.

Предполагается, что техпроцесс SF4X будет конкурировать с N4P и N4X компании TSMC, чей запуск запланирован на 2024–2025 годы. Какой техпроцесс в конечном итоге обеспечит наилучшее сочетание производительности, мощности, плотности транзисторов, эффективности и стоимости, основываясь исключительно на заявлениях производителей, предугадать невозможно.

Компоненты для высокопроизводительных вычислений (CPU и GPU для дата-центров) требуют значительного количества энергии, рассчитаны на регулярную работу с большими нагрузками и могут значительно повышать свою тактовую частоту, если возрастает потребность в более высокой производительности. Одна из главных задач новых техпроцессов, применяемых при производстве этих компонентов, связана не только с повышением производительности, но также и с повышением их энергоэффективности. Поэтому снижение у SF4X энергопотребления на 23 % по сравнению с предшествующим техпроцессом создаёт потенциал к существенной экономии средств держателей ЦОД и одновременному снижению негативных воздействий на окружающую среду этими системами.

Примечательно, что SF4X — это первый передовой техпроцесс Samsung, разработанный специально для использования в сфере HPC. Если учесть, что высокопроизводительные вычисления, в частности, ИИ, сейчас пользуются повышенным спросом как со стороны признанных лидеров рынка полупроводников (AMD, IBM, Intel и NVIDIA), так и со стороны новичков, таких как Ampere или Graphcore, у Samsung Foundry есть все основания ожидать, что эта технология будет принята по крайней мере некоторыми из более 150 её клиентов.

Samsung значительно снизила уровень брака при выпуске 4-нм чипов и этим привлекла AMD и Google

Техпроцесс компании Samsung с нормами 4 нм долго страдал от высокого уровня брака, пока в минувшие недели не был достигнут значительный прогресс. Представители Samsung сообщили об этом лично в местных социальных сетях, что случается крайне редко. Заявлено, что «следующее поколение 4-нм техпроцесса обеспечит более высокий выход годной продукции» и это привлекло именитых клиентов — компании AMD и Google.

 Источник изображения: Samsung Electronics

Источник изображения: Samsung Electronics

Как нам известно, до конца текущего полугодия Samsung начнёт массовый выпуск микросхем на основе третьего поколения 4-нм техпроцесса. Это произойдёт примерно спустя два года после первой заявки о начале выпуска 4-нм решений тогда ещё первого поколения. В этом компания Samsung не сильно отстала от своего конкурента — тайваньской TSMC, но в чём она явно проиграла — это в степени готовности нового техпроцесса к массовому производству.

Утверждалось, что ко второму году производства с нормами 4 нм уровень выхода годных микросхем на линиях Samsung приближался к 60 %, тогда как на аналогичных по масштабам линиях TSMC этот показатель достигал 70 % и даже 80 % (для зрелого производства этот показатель достигает 92–95 %). Уровень выхода годной продукции на новых 4-нм линиях Samsung будет приближаться к уровню TSMC и к уровню выхода годных 5-нм чипов Samsung, что означает уверенное преодоление планки 70 % и более.

Ранее компания Samsung потеряла ряд крупных заказов от Tesla, Qualcomm и других компаний, поскольку выход 4-нм микросхем с каждой пластины заметно уступал показателям TSMC. Достижение новых уровней качества продукции позволили южнокорейскому чипмейкеру вернуть часть из них. Например, сообщается о новых договорах на контрактное производство чипов для компаний AMD и Google.

TSMC рассказала, как будет улучшать 2-нм техпроцесс N2 — оптимизация питания в N2P и повышение скорости в N2X

Компания TSMC рассекретила планы совершенствования 2-нм техпроцесса N2, массовое производство по которому должно стартовать в 2025 году. Спустя год после этого будет внедрён оптимизированный по шине питания техпроцесс N2P, а ещё через некоторое время компания запустит техпроцесс N2X для решений с высшей производительностью. Развитие 2-нм техпроцесса TSMC будет стремительным, что может объясняться опасениями TSMC отстать от Intel и Samsung.

 Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Пример транзисторов с вертикальными рёбрами и круговым затвором (справа). Источник изображения: Samsung

Официально техпроцесс с нормами 2 нм тайваньский чипмейкер представил летом прошлого года. Производство полупроводников с этими технологическими нормами начнётся в 2025 году. Главной особенностью техпроцесса N2 станет переход с FinFET на транзисторы с круговым затвором (GAAFET). Это снизит токи утечки, позволит гибко регулировать производительность и оптимизирует потребление. Другой важной особенностью техпроцесса N2 должен был стать перенос линий питания чипа на другую сторону кристалла, что будет означать развязку шины данных и управления с питанием.

 Источник изображений: TSMC

Источник изображений: TSMC

Как теперь становится понятно, перенос линий питания ожидается в процессе внедрения техпроцесса N2P, что произойдёт в 2026 году. Из предыдущих заявлений компании первой реализации идеи можно было ожидать в 2025 году. Разнесение интерфейсов питания и данных по разные стороны кристалла решает множество проблем. Так, линии подвода питания к транзисторам станут короче, что снизит их сопротивление. Разнесение разводки уменьшит площадь кристаллов, львиную долю которой съедали линии передачи и межслойные контакты. Наконец, хотя это не всё, снизятся взаимные помехи, что скажется на стабильности сигнальных характеристик чипов.

Снижение площади кристалла, занятой контактами и разводкой, приведёт к значительному увеличению плотности транзисторов. Ранее TSMC заявляла, что переход от техпроцесса с нормами 3 нм к нормам 2 нм увеличит плотность транзисторов на 10 %. К настоящему моменту прогноз был улучшен до 15 % и, в случае внедрения техпроцесса N2P, плотность может вырасти на двухзначную величину, которую компания пока не конкретизирует. Закон Мура вздохнёт ещё раз перед своей смертью.

О техпроцессе N2X, который будет внедряться в 2026 году или позже, компания ничего не сообщила. Можно предположить, что это будет не слишком распространённое предложение, тогда как техпроцесс N2P обещает стать рабочей лошадкой компании на этапе 2-нм производства чипов.

Также компания сообщила о прогрессе в подготовке базового 2-нм техпроцесса. Производительность транзисторов GAAFET в составе опытного кремния доходит до 80 % от целевых значений. И это за два года до начала внедрения, что очень и очень хорошо. При этом уровень брака при производстве 2-нм ячеек SRAM объёмом 256 Мбит снизился до 50 % и менее.

 Источник изображения: Anandtech

Источник изображения: Anandtech

В целом техпроцесс с нормами 2 нм позволит TSMC повысить производительность транзисторов на 10–15 % при той же мощности и сложности, или снизить энергопотребление на 25–30 % при тех же тактовых частотах и количестве транзисторов. На бумаге TSMC отстаёт от компании Intel на год или два и успехи одной из компаний не дают покоя другой. Если каждая из них сдержит обещания, то чипы TSMC с транзисторами GAAFET появятся на два года позже аналогичных чипов Intel (20A), что также касается планов переноса линий питания на обратную сторону кристалла.

Intel завершила разработку ангстремных техпроцессов для выпуска самых передовых чипов — их внедрят в 2024 году

Компания Intel завершила разработку своих технологических процессов Intel 18A (1,8-нм класс) и Intel 20A (2-нм класс), которые будут применяться при производстве как её будущих продуктов, а также чипов от сторонних заказчиков, которые будут выпускаться в рамках программы контрактного производства Intel Foundry Services (IFS), пишет китайское издание UDN.

 Источник изображений: Intel

Источник изображений: Intel

О завершении разработки двух передовых технологических процессов производства микросхем сообщил старший вице-президент и руководитель китайского подразделения Intel Руи Ванг (Rui Wang). Завершение разработки не означает, что компания уже готова производить чипы согласно технологическим нормам Intel 18A и Intel 20A. Это, скорее, говорит о том, что производитель определился со всеми характеристиками указанных техпроцессов, материалами, техническими требованиями и ожидаемыми показателями производительности обеих технологий.

В рамках Intel 20A будет применена новая структура транзисторов RibbonFET с круговым затвором Gate-All-Around (GAA), а также новая схема питания Back Side Power Delivery (BSPD). Одновременное внедрение транзисторов меньшего размера, новой архитектуры транзисторов и новой схемы их питания является рискованным шагом со стороны Intel, однако компания ожидает, что техпроцесс Intel 20A позволит ей обогнать конкурентов в лице TSMC и Samsung. Компания Intel планирует начать использовать указанный техпроцесс уже в первой половине 2024 года.

Последующий техпроцесс Intel 18A, как предполагается, будет внедрён до конца того же года и позволит поднять эффективность полупроводниковых устройств ещё на 10 %. Изначально компания планировала использовать для производства 1,8-нм полупроводников сканеры Twinscan EXE нидерландской компании ASML с оптикой, обладающей числовой апертурой 0,55 NA. Но поскольку она решила вывести указанный техпроцесс на рынок раньше, то ей придётся полагаться на менее передовые нынешние сканеры Twinscan NXE с апертурой 0,33 NA, а также на EUV-сканеры с последовательной сменой двух фотошаблонов. Intel считает, что её 1,8-нм техпроцесс производства станет самым передовым на рынке.

Оба техпроцесса будут применяться не только для производства собственных чипов Intel, но также в рамках программы контрактного производства Intel Foundry Services (IFS). По словам компании, у неё уже есть 43 потенциальных клиентов на эти технологические узлы.

Возрождение японского производства чипов началось с Бельгии — технологии для 2-нм техпроцесса предоставит IMEC

На днях глава нового японского предприятия Rapidus, министр экономики, торговли и промышленности Японии Нисимура Ясутоси и генеральный директор IMEC Люк Ван ден Хове вместе позировали для памятного фото. Стороны на всех уровнях договорились о сотрудничестве вплоть до помощи властей для совместного переноса 2-нм технологии производства полупроводников в Японию из Бельгии.

 Первая пластина с «2-нм японскими чипами». Источник изображения: etnews.com

Первая пластина с «2-нм японскими чипами». Источник изображения: etnews.com

Следует сказать, что до этого Япония совместно с США договорились создать в Японии центр передовых исследований в области выпуска чипов по современным техпроцессам — до 2 нм и с меньшими нормами производства. Непосредственно для управления производством (заводами, сырьём и прочим) создано совместное предприятие Rapidus, в которое вошли восемь крупнейших японских когломератов: Toyota, Sony, Kioxia, NTT, Denso, NFC, Mitsubishi UFJ Bank и Softbank.

Донором технологий, как сейчас становится понятно, обещает стать бельгийский центр IMEC. Также японская сторона движется в сторону более плотного сотрудничества с нидерландской компанией ASML, и, вероятно, в будущем партнёрство с ней также будет оформлено официально. Компания ASML сегодня единственная, кто производит сканеры для работы с чипами с шагом элементов менее 10 нм. Без таких сканеров японцам нечего и мечтать о 2-нм производстве чипов.

Согласно планам Rapidus, выпуск «национальных» полупроводников в Японии с нормами 2 нм начнётся в 2025 году, а с ещё меньшими технологическими нормами — в 2027 году. Все необходимые технологии будут заимствованы у IMEC, ASML и, возможно, у TSMC посредством участия США. Компания TSMC, как известно, ускоряет перенос производства чипов с Тайваня в США. К этому подстёгивает растущая вероятность спецоперация Китая против Тайваня. Для США и союзников пришло время раскладывать «яйца» по разным корзинам.

Micron бросила вызов законам физики в техпроцессе 1β для DRAM — +35 % к плотности и +15 % к энергоэффективности без EUV

Micron объявила о готовности начать массовое производство чипов оперативной памяти DRAM по «самому передовому в мире» техпроцессу для данных изделий — 1β (1-бета). Компания утверждает, что с данным техпроцессом бросила вызов законам физики — используя весь свой опыт в производстве микросхем, компании удалось избежать применения литографии в сверхжёстком ультрафиолете (EUV).

Micron заявила, что первой в отрасли освоила техпроцесс 10-нм класса 1β. Фактически, это развитие запущенного в прошлом году техпроцесса 1α — чипы, выполненные по новой технологии обладают на 15 % более высокой энергоэффективностью и повышенной более чем на 35 % плотностью битов. Ёмкость одного кристалла может достигать 16 Гбит.

Компания особенно гордится, что в новом техпроцессе ей не пришлось задействовать EUV-литографию, хотя неизбежность её применения в будущем она и признаёт. Но пока что EUV является молодой технологией, потому Micron и не хотела использовать её. Вместо этого компания применила запатентованные передовые технологии с использованием нескольких масок и специальной техники погружения для размещения на кремниевой пластине крошечных элементов с высочайшей точностью.

При этом новый техпроцесс обеспечивает высочайшую плотность размещения ячеек DRAM на кристалле, что позволит уместить больше оперативной памяти в смартфонах, IoT-решениях и прочих устройствах с компактным форм-фактором. Также новый техпроцесс позволяет создавать память с более высокой энергетической эффективностью, что особенно важно не только в мобильных устройствах, но и, например, в дата-центрах данных, ведь им приходится работать со всё большим объёмом данных.

Micron будет массово выпускать память DRAM на техпроцессе 1β на своём заводе в Хиросиме, Япония. Компания утверждает, что уже готова к массовому производству, а также уже начала рассылать чипы памяти LPDDR5X, выполненные по новому техпроцессу, своим партнёрам. Речь идёт о чипах памяти LPDDR5X со скоростью 8500 Мбит/с.

В течение следующего года компания будет расширять портфель продуктов, выполненных на техпроцессе 1β, в том числе памятью для встраиваемых систем, центров обработки данных, клиентских, потребительских, промышленных и автомобильных сегментов, включая графическую память, память с высокой пропускной способностью и многое другое.

TSMC выпустила первый чип по улучшенному 3-нм техпроцессу N3E, но до массового производства ещё далеко

Разработчик полупроводниковых микросхем Alphawave сообщил, что выпустил первый в индустрии опытный образец чипа с использованием улучшенного 3-нм технологического процесса N3E от компании TSMC. Отмечается, что тестовый образец успешно прошёл все необходимые тесты.

 Источник изображения: TSMC

Источник изображения: TSMC

Для Alphawave выпустили образец чипа ZeusCORE100 1-112Gbps NRZ/PAM4 Serialiser-Deserialiser (SerDes), представляющий собой пару функциональных блоков, обычно используемых в высокоскоростной связи, для преобразования данных между последовательным и параллельным интерфейсами в обоих направлениях. Отмечается, что он поддерживает различные новые стандарты интерфейсов, включая 800G Ethernet, OIF 112G-CEI, PCIe 6.0 и CXL3.0. Подобные чипы в основном применяются для коммуникаций в серверных системах.

 Источник изображения: Alphawave IP

Источник изображения: Alphawave IP

TSMC планирует представить пять вариаций 3-нм техпроцесса в течение двух–трёх лет. Обычная версия техпроцесса N3 будет использоваться ведущими клиентами контрактного производителя чипов, например, той же Apple. С вводом второго поколения 3-нм техпроцесса (N3E) планируется ускорить, а также увеличить объёмы выпуска микросхем, повысить уровень их производительности и энергоэффективности.

 Источник изображения: TSMC

Источник изображения: TSMC

Ожидается, что чипы на базе усовершенствованного 3-нм техпроцесса N3E получат более широкое использование по сравнению с микросхемами на базе стандартного техпроцесса N3, однако старт массового выпуска чипов на основе техпроцесса N3E ожидается не ранее середины 2023 года, то есть примерно спустя год после начала массового производства чипов согласно нормам N3.

После перехода к массовому производству чипов по нормам N3E компания TSMC планирует перейти к выпуску микросхем на техпроцессах N3P и N3S, которые будут представлять собой варианты оптимизации N3E под различные классы применений. N3P ориентируется на высокопроизводительные чипы, а N3S — на энергоэффективные чипы с повышенной плотностью транзисторов. Оба техпроцесса запланированы на 2024 год. К 2025 году, когда компания начнёт осваивать следующую ступень полупроводниковых норм, появится ещё один техпроцесс 3-нм класса — N3X. На его базе будут выпускаться высокопроизводительные процессоры, для которых важно использование высоких рабочих токов и длительная работа на повышенных тактовых частотах.

Новая версия PlayStation 5 получила более компактный 6-нм процессор Oberon Plus — раньше использовался 7-нм Oberon

Новая версия игровой консоли PlayStation 5 с модельным номером CFI-1202 получила новый кастомный гибридный процессор с кодовым именем Oberon Plus, сообщает портал Angstronomics. Чип использует более тонкий техпроцесс производства, за счёт чего он стал компактнее своего предшественника Oberon.

 Источник изображения: VideoCardz

Источник изображения: VideoCardz

По данным источника, новые игровые консоли Sony теперь используют более компактный процессор, выпускающийся на мощностях компании TSMC с использованием более передового 6-нм техпроцесса. Напомним, что оригинальная приставка выпускалась с процессором Oberon, который использует 7-нм техпроцесс TSMC N7.

Архитектурно новый чип никак не изменился. В нём по-прежнему используются вычислительные ядра AMD Zen 2 и графическая архитектура RDNA 2. Производительность осталась прежней. Площадь кристалла нового процессора составляет около 260 мм2. Для сравнения, у оригинальной версии площадь чипа составляет 300 мм2.

 Процессор Oberon Plus (слева) и Oberon (справа). Источник изображения: Angstronomics

Процессор Oberon Plus (слева) и Oberon (справа). Источник изображения: Angstronomics

О том, что Sony выпустила новую версию приставки PlayStation 5, стало известно в начале этого месяца. Производитель полностью переработал её внутренние компоненты. Новая версия получила уменьшенную в размерах материнскую плату, а также более компактную и лёгкую систему охлаждения. Использование последней стало возможно как раз за счёт перехода процессора приставки на новый более тонкий техпроцесс. Как отмечалось в раннем разборе новой версии, обновлённая консоль требует меньше мощности и, следовательно, менее требовательна к охлаждению.

Выпуск более компактных чипов для PlayStation 5 означает, что на одной кремниевой пластине можно создать больше микросхем. Таким образом, теоретически обновлённая консоль может обходиться в производстве до 12 % дешевле.

По данным портала Angstronomics, компания Microsoft также собирается в обозримом будущем перейти на применение более компактных процессоров в своих игровых приставках Xbox Series S и X.

TSMC начнёт массовый выпуск чипов по усовершенствованному 3-нм техпроцессу в 2023 году

Компания TSMC подтвердила, что переход на массовое производство чипов согласно передовым технологическим процессам будет проводиться согласно графику. Разработка усовершенствованного 3-нм техпроцесса N3E идёт гладко. Первые коммерческие продукты на его основе ожидаются в следующем году. Продукты на базе основного 3-нм техпроцесса N3 начнут массово выпускаться в этом году.

 Источник изображения: TSMC

Источник изображения: TSMC

Тестовый выпуск чипов согласно нормам 3 нм был запущен в прошлом году. Сейчас же TSMC массово производит чипы согласно техпроцессу 5 нм, на базе которого этой осенью ожидается выход на рынок большого числа различных потребительских продуктов. По словам тайваньской компании, выпуск чипов по нормам 5 нм принёс ей 21 % от общей выручки во втором квартале этого года.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. В конце августа глава компании Си-Си Вэй (C.C. Wei) сообщил, что TSMC столкнулась со множеством сложностей при разработке 3-нм техпроцесса. Однако совсем скоро начнётся массовый выпуск чипов на его основе, и многие клиенты компании этого очень ждут.

В то же время в TSMC подтвердили, что в 2025 году планируют начать выпуск кремниевых пластин с использованием 2-нм техпроцесса производства. Для этого компания построит новый завод на территории Научного парка Синьчжу. Подготовка инфраструктуры для новой фабрики уже началась.

В рамках 2-нм техпроцесса TSMC будет выпускать микросхемы с архитектурой транзистора с круговым затвором Gate-All-Around (GAA). Прогнозируется, что TSMC выйдет на массовое производство чипов на основе 2-нм техпроцесса раньше, чем это сделают южнокорейский гигант Samsung Electronics и американская компания Intel. По мнению аналитиков, тайваньская компания в 2024 году станет первым производителем чипов, который задействует новое оборудование для литографии в глубоком ультрафиолете (EUV) с высокой числовой апертурой.

Согласно прогнозам, чипы на базе 2-нм техпроцесса будут на 10–15 % быстрее микросхем на базе узла N3E при том же уровне энергопотребления или на 25–30 % энергоэффективнее при той же тактовой частоте работы.

Из-за высокого спроса на передовые микросхемы производственные мощности TSMC по-прежнему загружены на 100 %. Компания ожидает, что это продлится как минимум до конца текущего года. В то же время многие соглашаются, что полупроводниковой отрасли сейчас приходится проводить корректировку запасов микросхем в связи со снижающимся потребительским спросом на различную бытовую технику.

TSMC удалось добиться впечатляющего уровня выхода годных кристаллов по 3-нм техпроцессу N3E

Из прежних сообщений тайваньских СМИ известно, что компания TSMC в следующем месяце приступит к производству чипов согласно 3-нм техпроцессу, который сама компания обозначает как N3. Издание Tom’s Hardware поделилось свежими подробностями о развитии технологии N3E, которая будет представлять собой оптимизированную версию техпроцесса N3.

 Источник изображения: TSMC

Источник изображения: TSMC

Технический энтузиаст под псевдонимом HS Kuo, на которого ссылается издание, опубликовал на своей странице в Twitter выдержку из непубличного документа самой TSMC. Согласно этим данным, компания к настоящему моменту добилась высокого процента выхода годных изделий на базе технологии N3E. Даже с учётом того, что на ней ещё не запущено опытное производство.

 Источник изображения: TSMC / HS Kuo

Источник изображения: TSMC / HS Kuo

Если верить графику выше, объём выхода годных микросхем статической оперативной памяти SRAM на базе N3E сейчас составляет около 80 %, годных логических микросхем — 80 %, а годных кольцевых генераторов с подтверждённым ресурсом — 92 %. Как отмечает Tom’s Hardware, эти показатели выше, чем у стартующего в следующем месяце серийного производства по технологии N3.

По данным TSMC, техпроцесс N3E подразумевает несколько меньшую плотность расположения транзисторов и некоторые другие изменения, которые позволяют достичь большей производительности и снижения энергопотребления чипов.

TSMC начнёт выпускать 3-нм чипы в сентябре

Компания TSMC начнёт массовое производство чипов по техпроцессу 3 нм (N3) в следующем месяце, сообщает издание Commercial Times. Поставки клиентам TSMC первых продуктов, выполненных на базе техпроцесса N3, ожидаются в начале следующего года.

 Источник изображений: TSMC

Источник изображений: TSMC

Обычно TSMC начинает массовое производство по новым техпроцессам весной, чтобы успеть выпустить достаточное количество чипов к запуску новых моделей iPhone от Apple, релиз которых обычно происходит в сентябре. Однако разработка узла N3 заняла больше времени, чем обычно. Именно поэтому Apple будет использовать в будущих смартфонах другой техпроцесс. Несмотря на это, именно Apple станет первым клиентом TSMC, который получит чипы, выполненные по 3-нм техпроцессу.

Технология N3 по сравнению с 5-нм N5 позволит увеличить частоты на 10–15 % при сохранении того же уровня энергопотребления или понизить энергопотребление кристаллов на 25–30 % при сохранении частоты. Также новые производственные нормы дадут возможность увеличить плотность размещения транзисторов на кристалле примерно в 1,6 раза.

Одной из главных особенностей узла N3 является технология FinFlex, которая должна увеличить для заказчиков привлекательность чипов, выпускаемых компанией. Суть технологии состоит в том, что производитель позволит использовать разные виды FinFET-транзисторов в рамках одного полупроводникового кристалла. Технология может принести пользу сложным продуктам, таким как центральные и графические процессоры. Поэтому компании Apple, AMD, Intel и NVIDIA смогут выпускать более производительные решения под определённые вычислительные задачи.

В перспективе TSMC запустит техпроцессы N3E, N3P и N3S. Первый будет представлять собой оптимизацию N3, а последние два — оптимизации N3E под различные классы применений. N3P ориентируется на высокопроизводительные чипы, а N3S — на энергоэффективные чипы с повышенной плотностью транзисторов. Оба техпроцесса запланированы к запуску на 2024 год, а N3E появится в 2023-м.

TSMC заявила, что расширение 3-нм идёт по плану

Компания TSMC прокомментировала вчерашний отчёт аналитиков из TrendForce, в котором говорилось, что тайваньский производитель вынужден пересмотреть свои планы по расширению мощностей для выпуска продукции по 3-нм технологическим нормам. Связано это якобы с планами Intel по переносу выпуска чипов Meteor Lake.

 Источник изображения: TSMC

Источник изображения: TSMC

Во вчерашнем отчёте TrendForce утверждалось, что Intel якобы решила перенести c конца 2022-го на конец 2023-го года запуск массового производства процессоров Meteor Lake. Они будут состоять из нескольких кристаллов, и кристаллы встроенных GPU будут выполнены именно по 3-нм техпроцессу TSMC.

«TSMC не комментирует вопросы, связанные с бизнесом наших индивидуальных клиентов. Наш проект по расширению производственных мощностей продвигается согласно графику», — сообщил представитель компании TSMC изданию Economic Daily.

Между тем компания Intel ещё раньше в рамках своего финансового отчёта заявила, что процессоры Intel Core 14-го поколения с кодовым именем Meteor Lake станут доступны в следующем году. «В 2023 году мы начнём поставки наших первых дезагрегированных процессоров — Meteor Lake, — использующих техпроцесс Intel 4. Они отлично себя показывают в наших лабораториях и в лабораториях наших клиентов», — заявил глава компании Пэт Гелсингер (Pat Gelsinger) в рамках финансового отчёта за второй квартал текущего года.

Получается, что речи о выпуске Meteor Lake в 2022 году уже действительно не идёт, так что данные TrendForce о переносе их выхода на 2023-й действительно имеют основания. Но на планы TSMC это никак не повлияет.

window-new
Soft
Hard
Тренды 🔥