реклама
Теги → asml
Быстрый переход

Объём заказов ASML располнел в 3,5 раза за квартал, а Китай стал крупнейшим покупателем её оборудования для выпуска чипов

Нидерландская компания ASML остаётся крупнейшим производителем литографических сканеров — оборудования, необходимого для производства полупроводниковых компонентов. В прошлом квартале ей удалось увеличить объём заказов в денежном выражении в 3,5 раза по сравнению с предыдущими тремя месяцами года, до рекордных €9,2 млрд.

 Источник изображения: ASML

Источник изображения: ASML

Как поясняет Bloomberg, в числовом выражении сумма заказов выросла по итогам минувшего квартала с 2,6 до 9,19 млрд евро. Спрос толкали вверх преимущественно самые современные литографические сканеры. В прошлом году выручка ASML выросла на 30 % до 27,6 млрд евро, и генеральный директор Петер Веннинк (Peter Wennink) заявил, что это было пиковым значением прироста, поскольку повторить его в текущем году уже не получится.

Примечательно, что отраслевые аналитики оценивали портфель заказов ASML в четвёртом квартале примерно в 3,6 млрд евро, а по факту он оказался почти в три раза больше. Из общей суммы 9,19 млрд евро на долю самых современных систем для работы с EUV-литографией пришлось 5,6 млрд евро. Финансовый директор компании Роджер Дассен (Roger Dassen) пояснил, что товарные запасы на стороне клиентов приближаются к норме и определённо находятся на лучших уровнях, чем пару кварталов назад.

Высокий спрос на литографическое оборудование ASML со стороны китайских производителей чипов на протяжении 2023 года позволил компании компенсировать негативное влияние кризисных явлений в мировой экономике на собственную выручку. Если в первом квартале прошлого года китайские клиенты формировали только 8 % выручки ASML, то в четвёртом квартале их доля выросла до 39 %, превратив КНР в крупнейший рынок сбыта продукции компании. Кстати, в третьем квартале доля Китая достигала 46 % выручки ASML, поэтому в четвёртом квартале наблюдался некоторый спад, вызванный вступлением в силу новых санкций. Южная Корея остаётся вторым по величине рынком сбыта для ASML со своими 25 % общей выручки, на Тайвань приходятся 13 %, США довольствуются 11 %, а страны Европы, Африки и Ближнего Востока (EMEA) сообща формируют 8 % выручки компании, тогда как в первом квартале их доля не превышала 1 %. Переток заказов из Тайваня и США наблюдался не только в Китай, но и в регион EMEA.

Аналитики Citigroup ожидали роста портфеля заказов ASML в первой половине текущего года, но он произошёл несколько раньше, ещё в прошлом квартале. В следующем году бизнес ASML тоже будет расти. В прошлом квартале выручка компании последовательно увеличилась с 6,67 до 7,24 млрд евро. В текущем году, по оценкам руководства ASML, до 15 % китайской выручки компании пострадает от введённых ранее экспортных ограничений США. На фоне оптимистичной отчётности акции ASML выросли в Амстердаме в цене на 7,5 %, что является максимальным приростом с ноября 2022 года.

США вынудили ASML разрывать контракты на поставку литографических систем в Китай

Как сообщает информагентство Bloomberg, по прямому указанию из Белого дома компания ASML раньше срока разорвала ряд контрактов с китайскими компаниями на поставку оборудования для производства чипов. Очередной пакет санкций против Китая начинает действовать в январе 2024 года. Оборудование надеялись отгрузить до установленной даты, но этому помешал звонок из администрации Байдена.

 Источник изображения: ASML

Источник изображения: ASML

Если верить сообщению из анонимного источника, слова которого приводит информагентство, советник по национальной безопасности США Джейк Салливан (Jake Sullivan) позвонил правительству Нидерландов по этому вопросу в конце прошлого года. Однако голландские официальные лица попросили США обсудить поставки в Китай систем для иммерсионной глубокой ультрафиолетовой литографии (DUV, длина волны 193 нм) напрямую с ASML.

Литографические сканеры для производства полупроводников диапазона EUV с длиной волны 13,5 нм были запрещены к поставкам в Китай около двух лет назад. Они могут помочь с выпуском чипов с разрешением до 3 нм и менее. Сканеры DUV тоже могут приблизиться к таким нормам, но только за счёт множественного применения масок для каждого критически важного слоя, что удорожает производство. Впрочем, это не помешало китайским производителям наладить выпуск 7-нм чипов и продолжить совершенствовать техпроцессы.

Поэтому в США расценивают поставки сканеров DUV в Китай как значительную угрозу. Нюанс в том, что это бьёт по производителю оборудования — нидерландской компании ASML. Руководство компании пытается уверить инвесторов, что всё не так страшно, и доля китайских клиентов едва превышает 15 %. Однако статистика говорит, что осенью 2023 года от продаж в Китай ASML получала почти половину выручки.

 Источник изображения: www.bloomberg.com

Источник изображения: www.bloomberg.com

Ожидая очередного запрета с нового 2024 года, Китай сильно нарастил закупки оборудования, и доля продаж продукции ASML в Китай поднялась последовательно с 8 % в первом квартале до 24 % во втором и до 46 % в третьем. Можно только представлять, что покажет четвёртый квартал, когда в захлопывающуюся дверь пытались протолкнуть как можно больше литографических систем и смежной техники.

Власти Нидерландов якобы попытались уйти от ответственности, перебросив решение неприятного вопроса непосредственно руководству ASML. В то же время представитель ASML прокомментировал происшествие изданию Bloomberg. Компания утверждает, что в последний момент экспортная лицензия была отменена властями страны.

 Источник изображения:

Данные китайской таможни по темпам ввоза оборудования ASML в Китай в 2023 году в сравнении с 2022 годом. Источник изображения: www.bloomberg.com

Подробности происшедшего не сообщаются. По словам ASML, отмена поставок в последний момент не сильно повлияет на доходы компании, поскольку она затронула небольшое количество оборудования считаным покупателям. Механизм разрыва сделки и ответственности сторон тоже не раскрыты. Но ясно одно, США крайне обеспокоены способностью Китая выпускать даже не самые передовые чипы, и любой новый станок в Поднебесную рассматривается как угроза.

Развитие под санкциями: китайская SMIC разрабатывает технологии выпуска 3-нм чипов без EUV

Несмотря на отсутствие доступа к оборудованию для выпуска чипов с литографией в экстремальном ультрафиолете (EUV) из-за санкций, китайская компания SMIC продолжает разработку 5-нм и 3-нм техпроцессов производства чипов. Ранее SMIC удалось наладить серийное производство 7-нм микросхем, опираясь исключительно на литографию в глубоком ультрафиолете (DUV), что само по себе не является невозможным — техпроцесс TSMC N7P также не использует EUV.

 Источник изображения: SMIC

Источник изображения: SMIC

В отчёте Nikkei утверждается, что сразу после запуска 7-нм техпроцесса 2-го поколения, SMIC создала исследовательскую группу для работы над 5-нм и 3-нм техпроцессами. Команду возглавляет ранее работавший в TSMC и Samsung содиректор SMIC Лян Монг-Сонг (Liang Mong-Song). «Нет более умного учёного или инженера, чем этот парень, — так охарактеризовал его Дик Терстон (Dick Thurston), бывший главный юрисконсульт TSMC. — Он действительно один из самых блестящих умов, которых я видел в области полупроводников».

SMIC прошла долгий путь от небольшой полупроводниковой фабрики до пятого по величине контрактного производителя микросхем в мире. На фоне растущей напряжённости между США и Китаем компания была включена в санкционный список Министерства торговли США и потеряла доступ к передовым инструментам для обработки кремниевых пластин, что серьёзно замедлило её развитие и внедрение новых технологических процессов.

На данный момент литографические машины ASML Twinscan NXT:2000i являются лучшими инструментами, которыми располагает SMIC — они могут производить травление с разрешением до 38 нм. Этот уровень точности обеспечивает экспонирование с шагом 38 нм с использованием двойной фотомаски, чего достаточно для производства чипов класса 7 нм. Согласно исследованиям ASML и IMEC, при 5 нм шаг металла уменьшается до 30-32 нм, а при 3 нм — до 21-24 нм, что уже требует применения EUV.

 Источник изображения: ASML

Источник изображения: ASML

Но использование инструментов литографии со сверхвысоким разрешением (13 нм для EUV с низкой числовой апертурой) — не единственный путь к достижению сверхмалых размеров транзисторов. Другой вариант предусматривает нанесение нескольких последовательных масок, но это сложный процесс, который увеличивает продолжительность производственного цикла, снижает процент выхода годных изделий, увеличивает износ оборудования и повышает затраты. Однако без доступа к EUV-литографии у SMIC просто нет другого выбора, кроме как использовать тройное, четверное или даже пятикратное паттернирование.

Терстон считает, что под руководством Лян Монг-Сонга SMIC сможет производить (если уже не производит) 5-нм чипы в больших количествах без использования инструментов EUV. Однако сегодняшний отчёт Nikkei впервые сообщает о возможной способности SMIC разработать в обозримом будущем 3-нм производственный процесс на оборудовании класса DUV.

ASML отгрузила Intel первый литографический сканер с высокой числовой апертурой

Длительное время способность Intel выпускать чипы по передовому для компании техпроцессу 18A привязывалась к литографическому оборудованию с высоким значением числовой апертуры (High-NA), но недавно выяснилось, что оно имеет значение лишь для экспериментов, а не серийного производства. Тем не менее, первая такая система производства ASML лишь недавно была отгружена поставщиком для нужд Intel.

 Источник изображения: Intel, X

Источник изображения: Intel, ASML, X

Представители Intel уже давно не без гордости регулярно говорили о намерениях компании стать первым клиентом ASML, получающим литографические сканеры с увеличенным с 0,33 до 0,55 значением числовой апертуры. Данная характеристика позволяет при использовании сверхжёсткого ультрафиолетового излучения (EUV) добиться линейного разрешения 8 нм против 13 нм у оборудования со значением числовой апертуры 0,33. Формально, последнее тоже позволяет изготавливать чипы по технологиям «тоньше» 2 нм, но потребует более сложной оснастки из-за необходимости двойной экспозиции и увеличит продолжительность производственного цикла.

Впрочем, если учесть, что ASML лишь на этой неделе подтвердила отправку первого литографического сканера с высокой числовой апертурой для нужд Intel, и в массовом производстве по техпроцессу 18A последняя всё равно будет полагаться на оборудование предыдущего поколения, для данного клиента это событие в большей степени обеспечивает некоторую фору при освоении последующих техпроцессов, которые в массовом производстве будут внедрены уже в 2026 и 2027 годах. Напомним, что во второй половине десятилетия Intel рассчитывает войти в число двух крупнейших контрактных производителей чипов, и новейшие техпроцессы она будет предлагать сторонним клиентам с минимальной задержкой относительно момента внедрения на собственном производстве. К середине десятилетия Intel рассчитывает превзойти TSMC и Samsung по степени продвинутости используемых техпроцессов. Первые образцы изделий, выпускаемых по технологии Intel 18A, появятся уже в следующем квартале.

В заявлениях ASML не говорится о модели литографического сканера, который был отгружен компании Intel, ни о конечном адресе доставки, но из неофициальных источников известно, что речь идёт о прототипе Twinscan EXE:5000, который будет доставлен в исследовательский центр Intel в штате Орегон, где расположена передовая лаборатория компании. Система упакована в 250 крупных ящиков и занимает 13 контейнеров, с учётом времени доставки и последующего монтажа Intel сможет приступить к её эксплуатации лишь через несколько месяцев. Считается, что в серийном производстве Intel будет использовать более совершенные сканеры Twinscan EXE:5200, которые будут поставлены позже. Стоимость каждой такой системы измеряется несколькими сотнями миллионов долларов США. По крайней мере, Twinscan EXE:5200 оценивается аналитиками в 250 млн евро.

Intel оказалась самым активным покупателем оборудования ASML для 2-нм литографии

Нидерландская компания ASML является крупнейшим поставщиком литографических сканеров, поэтому спрос на её передовые решения очень высок. В следующем году она собирается поставить клиентам не более 10 единиц оборудования, пригодного для выпуска 2-нм чипов. Из них шесть единиц получит компания Intel, которая соответствующие техпроцессы называет 20A и 18A.

 Источник изображения: ASML

Источник изображения: ASML

По крайней мере, об этом сообщает TrendForce со ссылкой на южнокорейские СМИ. Samsung Electronics, по их данным, тоже проявляет активность в закупке профильного оборудования ASML, поэтому интересы TSMC в данной ситуации будут учитываться в наименьшей степени. После 2024 года количество ежегодно выпускаемых ASML литографических сканеров, пригодных для выпуска 2-нм продукции, может увеличиться до 20 штук.

По всей видимости, речь идёт о так называемых EUV-сканерах с высоким значением числовой апертуры. Как отмечалось ранее, компания Intel начала получать их от ASML в числе первых, хотя даже в рамках серийного производства по технологии Intel 18A использовать их не планирует, а применяет данное оборудование исключительно в экспериментальных целях. Уже в следующем квартале Intel начнёт тестовый выпуск продукции по «ангстремному» техпроцессу 18A, а серийное производство будет развёрнут к концу 2024 года.

Samsung, которая недавно заключила дополнительное соглашение с ASML, не скрывает своих намерений использовать будущий исследовательский центр в Южной Корее для ускорения освоения новых технологий, включая 2-нм техпроцесс, который в массовом производстве должен быть внедрён к концу 2025 года. Корейская компания собирается применять литографическое оборудование ASML с высоким значением числовой апертуры при производстве микросхем памяти DRAM и логических компонентов.

TSMC уже продемонстрировала крупным клиентам вроде Apple и NVIDIA прототипы 2-нм изделий, а в массовом производстве рассчитывает внедрить этот техпроцесс в 2025 году, как и планировалось изначально. Ко второй половине 2025 года она внедрит выпуск микросхем с подводом питания с оборотной стороны кремниевой пластины в сочетании с 2-нм техпроцессом, а массово такие изделия будут выпускаться с 2026 года.

ASML и Samsung потратят $760 млн на исследовательский центр в Южной Корее

На этой неделе состоялся важный государственный визит — президент Южной Кореи Юн Сок Ёль (Yoon Suk Yeol) отправился в Нидерланды, где располагается штаб-квартира ASML — крупнейшего в мире поставщика литографических сканеров. Результатом визита стало соглашение между ASML и Samsung о строительстве исследовательского центра в Южной Корее.

 Источник изображения: ASML

Источник изображения: ASML

Как поясняет Bloomberg со ссылкой на комментарии представителей ASML, новый исследовательский центр, в развитие которого стороны собираются вложить $760 млн, будет специализироваться на оборудовании и технологиях, связанных со сверхжёстким ультрафиолетовым излучением (EUV). Когда оно применяется в литографии, то позволяет выпускать полупроводниковые компоненты с самыми «тонкими» на сегодняшний день технологическими нормами.

У ASML в Южной Корее уже есть четыре сервисных центра, которые нужны для обслуживания местных клиентов, включая и Samsung. Появление исследовательского центра позволит той же Samsung быстрее осваивать использование нового технологического оборудования ASML и эффективнее адаптировать собственные техпроцессы под возможности такого оборудования. В свою поездку в Нидерланды президент Южной Кореи прихватил исполнительного председателя совета директоров Samsung Electronics Ли Джэ Ёна (Lee Jae-yong) и председателя правления SK Group Чей Тэ Вона (Chey Tae-won).

Лидерам двух стран также удалось договориться о сотрудничестве в образовательной сфере. Южнокорейские рабочие и студенты смогут получать профильное образование в Нидерландах, чтобы в случае необходимости получать рабочие места как в европейской, так и в южнокорейской полупроводниковых отраслях. Компания ASML в подобных трудовых мигрантах крайне заинтересована, поскольку на фоне ужесточения иммиграционного законодательства и необходимости расширения производства испытывает кадровый голод.

Программа визита президента Южной Кореи в Нидерланды включала и посещение предприятия ASML, на котором производят сканеры для работы со сверхжёсткой ультрафиолетовой литографией. Компанию Юн Сок Ёлю, который стал первым главой государства, посетившим «святая святых» ASML, составили генеральный директор компании Петер Веннинк (Peter Wennink) и король Нидерландов Виллем-Александр (Willem-Alexander).

Машины для печати 5-нм чипов Canon будет продавать в десять раз дешевле ASML

В середине прошлого месяца японская компания Canon начала поставлять клиентам оборудование для печати 5-нм чипов без использования фотолитографии, а в этом месяце представители японского производителя пояснили, что такое оборудование будет примерно в десять раз дешевле систем для выпуска 5-нм чипов от ASML, а также будет потреблять в десять раз меньше электроэнергии.

 Источник изображения: Canon

Источник изображения: Canon

Напомним, ранее Canon хоть и выпускала литографическое оборудование, по его разрешающей способности могла конкурировать с ASML лишь в некоторой части ассортимента последней, причём в не самой передовой. На протяжении десяти лет Canon разрабатывала технологию нанопечати чипов, которая не подразумевает использования проекции фотошаблонов на кремниевую пластину. Стоит отметить, что оборудование для нанопечати предназначено для создания сравнительно небольших партий чипов, и не может претендовать на соперничество с системами ASML в массовом производстве. Уступая в производительности традиционному фотолитографическому оборудованию, новое технологическое решение обладает рядом преимуществ, по словам генерального директора Canon Фудзио Митараи (Fujio Mitarai), на которого ссылается Bloomberg.

По сравнению с оборудованием ASML для выпуска 5-нм чипов, предлагаемые Canon машины окажутся в 10 раз дешевле, как считает руководитель компании. Впрочем, окончательное решение по принятой ценовой политике пока не принято, но совершенно очевидно, что новый тип технологического оборудования Canon сделает выпуск чипов более доступным для небольших компаний. Даже крупные контрактные производители смогут охотнее браться за небольшие партии изделий, используя оборудование Canon, по мнению главы последней. Во-вторых, оборудование Canon данного семейства потребляет в десять раз меньше электроэнергии, чем используемое ASML для EUV-литографии. В наш век борьбы за экологию это важно, да и расходы на электроэнергию как таковые тоже удастся снизить.

Санкции японских властей против Китая, которые действуют с июля этого года, оборудование для нанопечати чипов напрямую не упоминают, но руководство Canon считает, что компания всё равно не сможет поставлять его китайским клиентам, поскольку с его помощью последние смогли бы выпускать компоненты «тоньше» 14-нм, а это не приветствуется ни японскими властями, ни США, ни другим их важным союзником — Нидерландами.

ASML ускорит поставки литографического оборудования китайским клиентам

С первого января следующего года нидерландский холдинг ASML утратит возможность поставлять в Китай часть ассортимента своих литографических сканеров, предназначенных для работы с технологией DUV, но прочее оборудование для зрелых техпроцессов в этом году будет поставлять даже в бóльших количествах, поскольку этого требуют китайские клиенты.

 Источник изображения: ASML

Источник изображения: ASML

Напомним, что в третьем квартале китайский рынок определил 46 % выручки компании ASML, поэтому воспринимать пожелания местных заказчиков она склонна на полном серьёзе. Уже в этом году объёмы поставок оборудования в Китай для зрелой литографии ASML увеличит, чтобы лучше соответствовать возросшему уровню спроса. Старший вице-президент ASML Шэнь Бо (Shen Bo), отвечающий за бизнес компании в регионе, на прошлой неделе пояснил, что спрос на оборудование этой марки за пределами Китая подвергся существенным колебаниям на стадии спада рынка, но в Китае он остаётся очень высоким, если речь идёт об оборудовании для работы со зрелыми техпроцессами. Поставлять в Китай передовые сканеры для работы с EUV-литографией компания не может с 2019 года.

Китайские клиенты, по словам представителя ASML, потребовали поставить в этом году заказанные литографические системы как можно скорее. Этот год в целом, как признался Шэнь Бо, предоставил компании возможность наверстать упущенное за два предыдущих года в отношении китайских клиентов, перед которыми у ASML накопились невыполненные обязательства. В общей сложности, на китайском направлении компания набрала за два предыдущих года заказов на общую сумму 35 млрд евро. Компании, по словам представителя, ещё требуется сделать многое, чтобы превратить Китай в конкурентоспособный рынок в мировом масштабе.

С января ASML утратит возможность поставлять в Китай некоторые модели сканеров семейства TWINSCAN 2000, которые, по мнению американских чиновников, могут быть использованы для производства 7-нм и 5-нм чипов, заказываемых компанией Huawei Technologies. Тогда как в Китае рост на оборудование ASML растёт, на остальных географических направлениях он в третьем квартале снизился, новые заказы были получены на сумму 2,6 млрд евро, которая на 42 % меньше достигнутой во втором квартале.

По словам представителей ASML, для компании Китай продолжит оставаться важным рынком. За время своего присутствия в регионе компания продала около 1400 литографических и измерительных систем, начиная с 1988 года. ASML в ближайшие два года расширит свой локальный штат персонала, который участвует в разработке оборудования, его обслуживании и ремонте.

США опоздали с санкциями: китайский 7-нм чип для Huawei Mate 60 Pro был изготовлен на оборудовании ASML

США активно сотрудничают с Японией и Нидерландами, чтобы запретить Китаю доступ к передовым полупроводниковым технологиям, использованным в 7-нанометровом чипе для Huawei Mate 60 Pro. Китайская компания SMIC, создавшая чип, продемонстрировала производственные возможности, вызвавшие серьёзную озабоченность в США. По информации от инсайдеров, SMIC пользовалась оборудованием ASML в сочетании с инструментами других компаний, что вызвало в Вашингтоне вопросы об эффективности контроля за передовыми технологиями.

 Источник изображений: ASML

Источник изображений: ASML

ASML играет ключевую роль в глобальной цепочке поставок чипов. Она обладает монополией на передовые системы литографии в сверхжёстком ультрафиолете (EUV), которые необходимы для производства самых передовых чипов, а также поставляет литографические сканеры для производства полупроводников по более зрелым техпроцессам.

ASML никогда не могла продавать свои системы EUV-литографии в Китай из-за экспортных ограничений. Но, по мнению отраслевых аналитиков, менее продвинутые системы для литографии в глубоком ультрафиолете (DUV) могут быть переоснащены оборудованием для осаждения и травления для производства 7-нанометровых и, возможно, даже более совершенных чипов.

Безусловно, этот процесс намного дороже, чем непосредственное использование EUV-литографии, что затрудняет масштабирование производства в конкурентной рыночной среде, однако китайское правительство готово взять на себя значительную часть затрат. Китайские компании уже много лет законно накапливают запасы DUV-оборудования, особенно после того, как в прошлом году США ввели экспортный контроль, к которому затем подключили Японию и Нидерланды. Но по словам источников, экспортные ограничения в отношении ASML были введены слишком поздно, чтобы остановить китайские успехи в производстве микросхем.

Давление со стороны США подтолкнуло правительство Нидерландов прошлым летом объявить о планах запретить компании ASML поставлять в Китай без лицензии три из четырёх своих самых передовых моделей машин для DUV-литографии, второй по мощности категории оборудования. В настоящее время ASML по-прежнему может экспортировать эту продукцию в Китай, но с января следующего года поставки будут полностью прекращены.

В этом году китайские производители микросхем увеличили количество заказов на литографическое оборудование в преддверии вступления экспортного контроля в полную силу в 2024 году. На долю Китая пришлось 46 % от всех продаж ASML в третьем квартале по сравнению с 24 % в предыдущем квартале и 8 % в первом.

Новые меры контроля, о которых администрация США объявила в этом месяце, ещё больше ограничивают экспорт оборудования для DUV-литографии. Согласно новым правилам, ASML по-прежнему сможет поставлять лишь свою наименее совершенную машину NXT:1980Di на китайские предприятия, производящие старые чипы. Это затронет поставки ASML на шесть заводов в Китае, включая одно предприятие SMIC. По прогнозам, новые экспортные ограничения в США и Нидерландах снизят поставки оборудования ASML в Китай на 15 %.

Эксперты полагают, что новые ограничения США на поставку оборудования для EUV-литографии теперь соответствуют ограничениям в Нидерландах. Но в вопросе регулирования DUV-машин США пошли дальше, что вызвало недовольство: группа голландских политиков, включая законодателей от двух партий правящей коалиции, призвала своё правительство выступить против новых мер США.

Генеральный директор ASML Питер Веннинк (Peter Wennink) также публично выступил против этих мер и предупредил, что они могут побудить Китай к разработке конкурирующих технологий. «Чем больше вы будете оказывать на них давление, тем больше вероятность, что они удвоят свои усилия», — заявил он.

«Соединённые Штаты провели свой собственный анализ безопасности. Они имеют на это право», — заявила министр внешней торговли Нидерландов Лейсье Шрайнемахер (Leisje Schreinemacher) в парламенте на этой неделе. Она полагает, что Европейский Союз должен играть более важную роль в обсуждениях с США по контролю за экспортом чувствительных технологий и собирается поднять этот вопрос перед премьер-министром Марком Рютте (Mark Rutte) в Брюсселе.

Сотрудник ASML подозревается в передаче Huawei секретной технической документации

Нидерландский холдинг ASML является крупнейшим в мире поставщиком литографических сканеров, которые необходимы для производства полупроводниковых компонентов, и с 2019 года он по указанию властей страны не поставляет в Китай свои передовые системы для работы с EUV-литографией. По некоторым данным, на работу в Huawei перешёл бывший сотрудник ASML, прихвативший с собой секретные технические сведения.

 Источник изображения: ASML

Источник изображения: ASML

По крайней мере, об этом сообщает Bloomberg со ссылкой на нидерландское издание NRC. По заявлениям ASML от февраля этого года, бывший сотрудник китайского подразделения компании похитил данные, имеющие отношение к ноу-хау в сфере оборудования для выпуска чипов. Как было установлено, подозреваемый похитил информацию из базы данных, используемой ASML для хранения соответствующей технической документации.

По данным источника, обвиняемый в хищении технической информации ASML сотрудник до своего внезапного увольнения уже сотрудничал не только с Huawei Technologies, но и с некоторыми связанными с правительством КНР структурами, по заданию которых и была добыта служебная документация ASML. Данные структуры уже подозревались в краже интеллектуальной собственности по другим эпизодам.

Данное расследование обретает особую актуальность в свете определённых успехов партнёров Huawei по выпуску 7-нм компонентов вроде того же процессора HiSilicon Kirin 9000S, обнаруженного в составе новейшего смартфона Huawei Mate 60 Pro, вышедшего на китайский рынок в начале осени. Западные эксперты подозревают, что выпустить 7-нм процессор для Huawei компания SMIC смогла не без помощи самого заказчика, который активно вкладывается в развитие собственной производственной экосистемы, позволяющей обходить санкции США. В этом месяце ограничения на поставку в Китай определенного ассортимента литографического оборудования ASML были дополнительно усилены, и теперь аналитики считают, что у китайских компаний могут возникнуть трудности даже с производством 28-нм чипов.

ASML считает, что новые санкции США снизят её поставки в Китай не более чем на 15 %

Ещё год назад, на этапе введения «первой волны» санкций США против китайской полупроводниковой промышленности, представители нидерландской компании ASML заявляли, что её оборудование так востребовано рынком, что потери на китайском направлении удастся компенсировать в других регионах. Новый этап американских санкций, по мнению руководства ASML, способен лишить её до 10–15 % текущей выручки в Китае.

 Источник изображения: Lam Research

Источник изображения: Lam Research

Как можно судить по итогам свежего квартального отчёта ASML, которая является ведущим мировым поставщиком литографических сканеров, необходимых для выпуска полупроводниковой продукции, в третьем квартале Китай обеспечил 46 % всей выручки компании или около 2,44 млрд евро. Доля Китая выросла почти вдвое с 24 %, которые наблюдались по итогам второго квартала текущего года. В то же время, представители ASML призывают учитывать, что поставки третьего квартала были предопределены заказами клиентов, которые формировались ещё в 2022 году, а в некоторых случаях даже в 2021 году. Фактически, компания получила возможность поставлять больше оборудования в Китай по той причине, что клиенты на других направлениях отказались выкупать оборудование, которые заказали в прошлом году.

В последние годы ASML удавалось удовлетворять менее 50 % спроса на своё оборудование на китайском направлении, поскольку в других регионах спрос тоже был очень высок. По сути, в третьем квартале на фоне охлаждения мирового рынка в целом ASML получила возможность наверстать упущенное в Китае. При этом все поставки на этом направлении осуществлялись в соответствии с правилами экспортного контроля США и Нидерландов. Но глава компании Петер Веннинк (Peter Wennink) считает, что в следующем году ASML уже не сможет отгрузить в Китай столько же оборудования, как в этом году.

В перспективе под новые ограничения США попадут от 10 до 15 % объёма поставок оборудования ASML в Китай, а на финансовые итоги текущего года они повлиять не успеют. В дальнейшим санкции будут способствовать иному распределению поставок продукции ASML по географическому признаку, как добавил Веннинк в интервью Nikkei Asian Review. С другой стороны, сам по себе 2023 год не станет для ASML пиковым периодом с точки зрения поставок оборудования в Китай, поскольку в дальнейшем спрос местных компаний на продукцию ASML сохранится и будет расти в рамках действующих санкций. Многие китайские компании уже сделали выводы из октябрьских санкций США прошлого года, и перешли с использования продвинутой литографии на зрелую — более безопасную с политической точки зрения.

Китайские производители чипов не смогут полностью заместить импортное оборудование, как считает глава ASML, поскольку потребность в оборудовании для выпуска чипов будет огромной, и китайским поставщикам просто не хватит мощностей для удовлетворения всего спроса. Сторонние аналитики отмечают, что нынешний этап санкций США ограничит способность китайских компаний наращивать объёмы выпуска 28-нм чипов, поскольку задействованные в этом процессе литографические системы ASML попадают под установленные американской стороной в этом месяце ограничения.

Что характерно, получившая в третьем квартале около 50 % своей выручки в Китае американская компания Lam Research не особо переживает по поводу влияния новых санкций на свой бизнес. Она поставляет технологическое оборудование для производства чипов в разные страны мира, включая КНР, и в третьем квартале её выручка упала в годовом сравнении на 31,4 % до $3,5 млрд, но основная часть негативного влияния санкций США почувствовалась ещё в прошлом году, и теперь на заметное ухудшение условий работы с китайскими клиентами Lam Research не рассчитывает. Фактически, по сравнению с третьим кварталом прошлого года, доля Китая в выручке компании выросла с 30 до 48 %. Как и в случае с ASML, китайские клиенты Lam Research просто смогли выкупить больше оборудования в третьем квартале, которое оказалось невостребованным на других географических направлениях из-за общего спада спроса в полупроводниковом секторе. В четвёртом квартале Lam Research рассчитывает получить до половины всей выручки именно от своих китайских клиентов.

Объём заказов на оборудование ASML обвалился на 42 %

Поставки литографического оборудования, которыми занимается нидерландская компания ASML — это растянутый во времени процесс и заказчики формируют бюджет на закупку заблаговременно. По этой причине резкое снижение объёма заказов на продукцию компании в третьем квартале — важный маркер, позволяющий говорить о наличии проблем в полупроводниковой отрасли.

 Источник изображения: ASML

Источник изображения: ASML

Сегодня ASML отчиталась о результатах деятельности за третий квартал текущего года, разочаровав отраслевых аналитиков. Компания сообщила, что объём заказов в денежном выражении за период последовательно сократился на 42 % до €2,6 млрд, тогда как инвесторы ожидали показателей на уровне €4,5 млрд. По словам генерального директора Петера Веннинка (Peter Wennink), макроэкономическая ситуация за прошедшие три месяца не улучшилась. Высокими остаются как темпы инфляции, так и ставки рефинансирования, многие участники экономической деятельности опасаются рецессии как в Европе, так и в США. Периодически трудностей добавляет и геополитическая обстановка — добавил глава ASML.

Выручка компании последовательно упала впервые за шесть кварталов до €6,7 млрд, хотя по итогам всего 2023 года производитель всё равно рассчитывает увеличить её на 30 % по сравнению с прошлым годом. В годовом сравнении квартальная выручка ASML выросла на 15,5 %. Зато в следующем году выручка ASML останется примерно на уровне текущего, признало руководство. Впрочем, прибыль компании в минувшем квартале последовательно сократилась с €1,94 до €1,89 млрд, что нельзя считать существенным ухудшением, да и в годовом сравнении она выросла на 11 %. Тем более, что норму прибыли удалось удержать на уровне 51,9 %, выше ожиданий руководства.

Как отметил Веннинк, клиенты ASML сейчас работают над выходом из затянувшегося кризиса, и переломным моментом может стать конец текущего года, после чего начнётся улучшение. Но пока участники рынка не готовы сказать, насколько быстрым оно будет. Для самой ASML следующий год будет переходным, как выразился глава компании, поэтому и прогноз по выручке получился консервативным. Зато в 2025 году делается ставка на существенный рост выручки. В текущем квартале ASML рассчитывает выручить от €6,7 до €7,1 млрд, удержать норму прибыли в диапазоне от 50 до 51 %. Расходы на исследования и разработки последовательно вырастут с €992 млн до €1,03 млрд.

Примечательно, что Китай в прошлом квартале формировал 46 % выручки ASML, хотя за три месяца до этого его доля не превышала 24 %, а в первом квартале вообще ограничивалась 8 %. По словам Веннинка, китайские клиенты рады приобретать то оборудование, от которого по разным причинам отказываются клиенты ASML из других стран. Однако с января следующего года вступят в силу новые ограничения на экспорт оборудования ASML в Китай со стороны властей Нидерландов. Скажутся на бизнесе компании и объявленные вчера изменения в правилах экспортного контроля США, пусть и только в средне- и долгосрочной перспективе, а не в этом году.

ASML предупредила, что новые экспортные ограничения США нанесут урон её бизнесу

Введение администрацией Джо Байдена новых экспортных правил на поставки в Китай чипов и оборудования для их производства негативно отразятся на продажах ASML Holding NV в этой стране в среднесрочной и долгосрочной перспективе, сообщили в компании агентству Bloomberg. О том, что США расширяют перечень производственного оборудования, подпадающего под ограничения, рассказали во вторник Bloomberg высокопоставленные представители администрации.

 Источник изображения: ASML

Источник изображения: ASML

ASML заявила, что новые правила «вероятно, повлияют на региональные продажи её оборудования в среднесрочной и долгосрочной перспективе». Вместе с тем ASML предполагает, что они будут применяться к ограниченному числу китайских заводов, производящих современные полупроводники. Также компания не предполагает, что ограничения США окажут существенное влияние на её финансовые результаты в этом году.

Кроме того, ASML намерена обратиться за дополнительными разъяснениями к властям США по поводу сферы действия новых правил. Ранее в этом году ASML попала под прессинг США, требующих ограничить поставки передовых технологий в Китай, являющийся для неё одним из крупнейших рынков. Под давлением Белого дома правительство Нидерландов ввело ограничения на поставку в Китай оборудования для работы с иммерсионной литографией с глубоким ультрафиолетом (DUV), которые вступят в полную силу с 1 января 2024 года.

После объявления о введении США экспортных ограничений акции ASML сначала упали на 2,3 %, а затем выросли в цене на 0,4 %.

Canon начала продавать оборудование для выпуска 5-нм чипов без фотолитографии

Долгое время отсутствие озабоченности американских властей способностью китайских компаний получать литографическое оборудование из Японии строилось на убеждении в том, что местные производители предлагают решения преимущественно для зрелой литографии. Canon на этой неделе разрушила этот миф, начав поставлять оборудование для изготовления 5-нм чипов, но использующее иной принцип работы, нежели машины лидирующей в данной сфере ASML.

 Источник изображения: Canon

Источник изображений: Canon

По крайней мере, как поясняет Bloomberg, установка Canon FPA-1200NZ2C нового поколения позволяет наносить на кремниевые пластины рисунок с минимальными размерами в 14 нм, что позволяет получать чипы, которые по своим характеристикам соответствуют 5-нм аналогам ведущих мировых производителей, изготовленным с использованием так называемой EUV-литографии. За счёт последовательных улучшений и совершенствования данного оборудования Canon даже рассчитывает создать условия для выпуска 2-нм изделий на этих машинах. При этом сам по себе метод обработки кремниевых пластин, применяемый Canon, имеет больше общего с печатью, а не фотолитографией как таковой, поскольку для переноса микроскопических структур интегральных микросхем на кремниевую пластину принцип проекции изображения вообще не используется.

Относительная новизна технологии в данном контексте добавляет проблем американскому правительству, которое стремится запретить поставки в Китай любого оборудования, позволяющего местным компаниям выпускать передовые чипы. Определённые договорённости в сфере литографии между властями США и Японии уже достигнуты, но оборудование Canon нового типа ими не покрывается. Представители компании пока не комментируют, будут ли правила экспортного контроля Японии регламентировать возможность поставки такого оборудования в Китай.

Нанопечатная литография долгое время считалась более дешёвой альтернативой оптической литографии, и в прошлом с её использованием экспериментировали производители микросхем памяти типа SK hynix и Kioxia. Последняя даже испытывала оборудование Canon для нанопечатной литографии, прежде чем оно было готово к серийному производству. На том этапе к оборудованию потенциальным заказчиком выдвигались претензии, преимущественно заключавшиеся в высоком уровне брака продукции.

Конкурирующая ASML из Нидерландов до сих пор оставалась ведущим мировым производителем литографических систем, позволяющих выпускать чипы с технологическими нормами 5 нм и меньше. В текущем году она рассчитывает увеличить выручку на 30 %, а все заказы на своё оборудование сможет удовлетворить только в следующем, как минимум. Ещё в 2014 году компания Canon поглотила разработчика систем для нанопечатной литографии Molecular Imprints, и с тех пор прилагала серьёзные усилия к развитию соответствующих технологий. Первое за долгое время новое предприятие Canon по выпуску литографического оборудования к северу от Токио будет введено в строй в 2025 году. Свою продукцию Canon поставляет и для нужд тайваньской TSMC — крупнейшего контрактного производителя чипов в мире.

ASML откроет в Японии центр технической поддержки, что поможет Rapidus в освоении 2-нм техпроцесса

Сформированный недавно японский консорциум Rapidus уже заложил фундамент будущего предприятия, которое к 2027 году должно освоить выпуск 2-нм чипов на территории Страны восходящего солнца. Нидерландская компания ASML, которая поставляет передовые литографические сканеры, для поддержки Rapidus готова открыть сервисный центр в Японии уже в следующем году.

 Источник изображения: ASML

Источник изображения: ASML

Об этом сегодня сообщило информационное агентство Nikkei со ссылкой на собственные источники. По их данным, ASML собирается открыть локальный центр технической поддержки на острове Хоккайдо во второй половине следующего года. К 2028 году компания ASML собирается увеличить численность своего персонала в Японии на с 400 до 560 человек. Наличие локального сервисного центра значительно ускоряет внедрение новых литографических технологий и строительство предприятий по выпуску чипов. ASML аналогичными центрами, которые используются ещё и для подготовки персонала компаний-клиентов, располагает в Южной Корее и на Тайване, где расположены предприятия её крупных клиентов типа Samsung Electronics и TSMC.

Около 50 инженеров ASML помогут Rapidus установить литографические системы нидерландского производства на пилотной линии на острове Хоккайдо. Соответствующее оборудование ориентировано на работу со сверхжёстким ультрафиолетовым излучением (EUV), необходимым для производства чипов по технологическим нормам тоньше 7 нм. За последующие пять лет ASML собирается увеличить фонд оплаты труда своих специалистов на территории Японии на 40 %. В префектуре Кумамото, где своё совместное предприятие строит тайваньская компания TSMC, у ASML тоже работают 10 специалистов, их количество в дальнейшем планируется увеличить до 40 человек. Основным технологическим донором Rapidus должна стать американская корпорация IBM, которая и обеспечит условия для выпуска в Японии 2-нм компонентов.

Япония станет пятым регионом планеты, где будет использоваться EUV-оборудование ASML после США, Тайваня, Южной Кореи и Ирландии. В последней из стран уже давно функционирует предприятие Intel по производству процессоров и чипсетов, оно подвергается плановой модернизации. Прочие поставщики литографического оборудования тоже расширяют своё присутствие в Японии. Например, это делают американские Applied Materials и Lam Research. В свою очередь, японские производители специального оборудования стремятся расширить присутствие за пределами страны, в непосредственной близости к клиентам, так что эта «миграция» носит двунаправленный характер.

window-new
Soft
Hard
Тренды 🔥